Viewing a single comment thread. View all comments

Yancy_Farnesworth t1_j09xl5d wrote

> it's now just a name for a fabrication process

Yes and no. The process name is supposed to describe an improvement in transistor density now. As in for the same company, the next node is some % improvement over the previous one. They did this because below 7nm the nm measurement became even more meaningless for indicating transistor size/density.

> has nothing to do with actual values compared to back when it used to

Even when the measurement applied to the smallest "feature size", it still didn't describe the size of the actual transistors or transistor density. For example, Intel 10nm was more transistor dense then TSMC's 7nm process. Intel's 7nm process was targeting a higher density than TSMC's 5nm process. Intel fell behind TSMC because they tried to do it on DUV machines rather than EUV, which set them back years as TSMC wound up getting access to EUV equipment first. Hell, even with 7nm, TSMC's was better than Samsung's equivalent process.

1